summaryrefslogtreecommitdiff
path: root/include/linux/signal.h
Commit message (Expand)AuthorAge
* signal: Remove unnecessary ifdefs now that there is only one struct siginfoEric W. Biederman2018-01-12
* signal: Introduce clear_siginfoEric W. Biederman2018-01-12
* signal: Reduce copy_siginfo to just a memcpyEric W. Biederman2018-01-12
* License cleanup: add SPDX GPL-2.0 license identifier to files with no licenseGreg Kroah-Hartman2017-11-02
* signal: Remove kernel interal si_code magicEric W. Biederman2017-07-24
* fcntl: Don't use ambiguous SIG_POLL si_codesEric W. Biederman2017-07-24
* Merge branch 'misc.compat' of git://git.kernel.org/pub/scm/linux/kernel/git/v...Linus Torvalds2017-07-06
|\
| * rt_sigtimedwait(): move compat to nativeAl Viro2017-06-09
* | signal: Move copy_siginfo_to_user to <linux/signal.h>Christoph Hellwig2017-06-04
* | ia64: Remove HAVE_ARCH_COPY_SIGINFOChristoph Hellwig2017-06-04
|/
* signal: Remove unused definition of sig_user_definiedEric W. Biederman2017-04-17
* signals: Move signal data types from <linux/signal.h> to <linux/signal_types.h>Ingo Molnar2017-03-03
* signals: Prepare to split out <linux/signal_types.h> from <linux/signal.h>Ingo Molnar2017-03-02
* signals: avoid unnecessary taking of sighand->siglockWaiman Long2016-12-14
* signal: move the "sig < SIGRTMIN" check into siginmask(sig)Oleg Nesterov2016-05-23
* Merge branch 'upstream' of git://git.linux-mips.org/pub/scm/ralf/upstream-linusLinus Torvalds2016-05-19
|\
| * SIGNAL: Move generic copy_siginfo() to signal.hJames Hogan2016-05-13
* | signals/sigaltstack: Implement SS_AUTODISARM flagStas Sergeev2016-05-03
|/
* kernel/signal.c: unexport sigsuspend()Richard Weinberger2015-11-20
* signal: use BUILD_BUG() instead of _NSIG_WORDS_is_unsupported_size()Oleg Nesterov2014-10-14
* Rip out get_signal_to_deliver()Richard Weinberger2014-08-06
* Clean up signal_delivered()Richard Weinberger2014-08-06
* signals: introduce kernel_sigaction()Oleg Nesterov2014-06-06
* signals: mv {dis,}allow_signal() from sched.h/exit.c to signal.[ch]Oleg Nesterov2014-06-06
* signals: kill sigfindinword()Oleg Nesterov2014-06-06
* Introduce [compat_]save_altstack_ex() to unbreak x86 SMAPAl Viro2013-09-01
* Merge branch 'for-linus' of git://git.kernel.org/pub/scm/linux/kernel/git/vir...Linus Torvalds2013-05-01
|\
| * proc: Move some bits from linux/proc_fs.h to linux/{of.h,signal.h,tty.h}David Howells2013-05-01
* | Fix breakage in MIPS siginfo handlingDavid Howells2013-03-19
|/
* new helper: signal_setup_done()Al Viro2013-02-03
* new helper: get_signal()Al Viro2013-02-03
* generic sys_sigaction() and compat_sys_sigaction()Al Viro2013-02-03
* consolidate kernel-side struct sigaction declarationsAl Viro2013-02-03
* consolidate declarations of k_sigactionAl Viro2013-02-03
* switch rt_tgsigqueueinfo to COMPAT_SYSCALL_DEFINEAl Viro2013-02-03
* generic compat_sys_rt_sigpending()Al Viro2013-02-03
* new helpers: __save_altstack/__compat_save_altstack, switch x86 and um to thoseAl Viro2012-12-19
* new helper: restore_altstack()Al Viro2012-12-19
* UAPI: (Scripted) Disintegrate include/linuxDavid Howells2012-10-13
* new helper: signal_delivered()Al Viro2012-06-01
* most of set_current_blocked() callers want SIGKILL/SIGSTOP removed from setAl Viro2012-06-01
* new helper: sigsuspend()Al Viro2012-05-21
* signal: add block_sigmask() for adding sigmask to current->blockedMatt Fleming2012-01-10
* Merge branch 'ptrace' of git://git.kernel.org/pub/scm/linux/kernel/git/oleg/miscLinus Torvalds2011-05-20
|\
| * signal: trivial, fix the "timespec declared inside parameter list" warningOleg Nesterov2011-05-18
| * signal: rename signandsets() to sigandnsets()Oleg Nesterov2011-04-28
| * signal: introduce do_sigtimedwait() to factor out compat/native codeOleg Nesterov2011-04-28
| * signal: sigprocmask() should do retarget_shared_pending()Oleg Nesterov2011-04-28
* | signal.h need a definition of struct task_structStephen Rothwell2011-05-19
|/
* sysctl extern cleanup: signalDave Young2010-03-12